[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Verilog coding style for Open Cores-RTL - Case in pointSHA1



On Thu, 2003-05-29 at 22:51, Shehryar Shaheen wrote:
> To say SystemC is not a Concurent but a Sequential
>  language is a misleading statement and is perhaps bad
>  understanding of SystemC.

No it is not.

SystemC *is* a sequential language which can be used
to make concurrent blocks. Actually the language
is 'C/C++' SystemC is a library of some functions ...


> Most simulators are single kernel simulators but in SystemC the
>  kernel is built into the executable binary which gives the
>  concurency similar to the verilog 'always' or the VHDL 'process' block.
> ....

 
rudi               
-------------------------------------------------------
www.asics.ws  -- Solutions for your ASIC/FPGA needs ---
---------------- FPGAs * Full Custom ICs * IP Cores ---
* * * FREE IP Cores  --> http://www.asics.ws/ <-- * * *

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml