[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Need some Help..



you can try this

type load_file_type is file of real;
file load_file : load_file_type open read_mode is load_file_name;
variable addr: natural;

begin
--load memory contecnts from load_file
addr := 0;
while not endfile(load_file) loop
read(load_file, index(addr));
addr := addr + 1;
end loop;
..............

Thanks,
--Ram K.




>From: "Dharmeshbhai PATEL" <dpatel@ifrance.com>
>Reply-To: cores@opencores.org
>To: <cores@opencores.org>
>Subject: [oc] Need some Help..
>Date: Wed, 26 Feb 2003 10:34:12 GMT
>
>Hi List,
>
>
>I want to do the following things in vhdl.
>
>
>I have in my Tesbench the following signal.
>
>    Type Memory is array 0 to 16 od REAL;
>    signal index : Memory;
>
>
>And I want to initialized this Memory array with an external file in
>witch there are some Real parametres.
>
>Some body can tell me how to write the process to do this.
>
>I'm not very familiar with read files function in VHDL.
>
>
>
>Thanks a lot in advance.
>
>
>_____________________________________________________________________
>GRAND JEU SMS : Pour gagner un NOKIA 7650, envoyez le mot IF au 61321
>(prix d'un SMS + 0.35 euro). Un SMS vous dira si vous avez gagné.
>Règlement : http://www.ifrance.com/_reloc/sign.sms
>
>
>--
>To unsubscribe from cores mailing list please visit 
>http://www.opencores.org/mailinglists.shtml


_________________________________________________________________
STOP MORE SPAM with the new MSN 8 and get 2 months FREE* 
http://join.msn.com/?page=features/junkmail

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml