[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] Need some Help..



Hi List,


I want to do the following things in vhdl.


I have in my Tesbench the following signal.

   Type Memory is array 0 to 16 od REAL;
   signal index : Memory;


And I want to initialized this Memory array with an external file in
witch there are some Real parametres.

Some body can tell me how to write the process to do this.

I'm not very familiar with read files function in VHDL.



Thanks a lot in advance.


_____________________________________________________________________
GRAND JEU SMS : Pour gagner un NOKIA 7650, envoyez le mot IF au 61321
(prix d'un SMS + 0.35 euro). Un SMS vous dira si vous avez gagné.
Règlement : http://www.ifrance.com/_reloc/sign.sms


--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml