[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] VGA DC Problem



Dear ppl,

I've been trying to synthesize the vga_lcd controller from opencores.org
but have been unsuccessful.

I was wondering if anyone used Synopsys DC to synthesize the vga
controller before. If you have, could you please send me some
instructions??

-- >8 --
Current design is 'vga_enh_top'.
{"vga_enh_top"}
link
Linking design:
  vga_enh_top
Using the following designs and libraries:
  xdw_virtex (library)
Information: Building the design 'vga_csm_pb' instantiated from design
'vga_enh_top' with
        the parameters "24,9". (HDL-193)
Warning: Can't find the design 'vga_csm_pb'
        in the library 'WORK'. (LBR-1)
Error: 'vga_csm_pb' was not identified as a synthetic library module
        and could not be successfully elaborated from design library
'WORK'. (LINK-10)
Warning: Unable to resolve reference 'vga_csm_pb' in 'vga_enh_top'. (LINK-5)
-- >8 --

Please let me know if you've had any success..

thank you.

With Metta,
Shawn Tan.

--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml