[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Better VHDL tools anyone?



Hello Paul,

I use Leonardo from Altera package, with complete satisfaction. This is
the better synthesizer I have tried, between :

- Warp2 that crash under Windows 98 in a few minutes without any reason,

- FPGAExpress that don't compiles a two dimensional signal type (i.e.
matrix_vector(i, j)) defined in a package,
- Xilinx Foundation that finds errors where Leonardo don't,
- MAX+Plus II that don't finds WORKS library if your VHDL project is put
at the root of any disk

Synthesis tools are not created equals ! Leonardo is the least lunatic
of these synthesis tools.
You could download it free from Altera's WEB site.

Jean MASSON


--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml