head 1.1; branch 1.1.1; access ; symbols arelease:1.1.1.1 avendor:1.1.1; locks ; strict; comment @# @; 1.1 date 2002.06.09.09.31.32; author mobileune; state Exp; branches 1.1.1.1; next ; 1.1.1.1 date 2002.06.09.09.31.32; author mobileune; state Exp; branches ; next ; desc @@ 1.1 log @Initial revision @ text @ library IEEE; use IEEE.Std_Logic_1164.all; package AMBA is ----------------------------------------------------------------------------- -- Definitions for AMBA(TM) AHB Masters ----------------------------------------------------------------------------- -- AHB master inputs (HCLK and HRESETn routed separately) type AHB_Mst_In_Type is record HGRANT: Std_ULogic; -- bus grant HREADY: Std_ULogic; -- transfer done HRESP: Std_Logic_Vector(1 downto 0); -- response type HRDATA: Std_Logic_Vector(31 downto 0); -- read data bus HCACHE: Std_ULogic; -- cacheable data end record; -- AHB master outputs type AHB_Mst_Out_Type is record HBUSREQ: Std_ULogic; -- bus request HLOCK: Std_ULogic; -- lock request HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type HADDR: Std_Logic_Vector(31 downto 0); -- address bus (byte) HWRITE: Std_ULogic; -- read/write HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size HBURST: Std_Logic_Vector(2 downto 0); -- burst type HPROT: Std_Logic_Vector(3 downto 0); -- protection control HWDATA: Std_Logic_Vector(31 downto 0); -- write data bus end record; ----------------------------------------------------------------------------- -- Definitions for AMBA(TM) AHB Slaves ----------------------------------------------------------------------------- -- AHB slave inputs (HCLK and HRESETn routed separately) type AHB_Slv_In_Type is record HSEL: Std_ULogic; -- slave select HADDR: Std_Logic_Vector(31 downto 0); -- address bus (byte) HWRITE: Std_ULogic; -- read/write HTRANS: Std_Logic_Vector(1 downto 0); -- transfer type HSIZE: Std_Logic_Vector(2 downto 0); -- transfer size HBURST: Std_Logic_Vector(2 downto 0); -- burst type HWDATA: Std_Logic_Vector(31 downto 0); -- write data bus HPROT: Std_Logic_Vector(3 downto 0); -- protection control HREADY: Std_ULogic; -- transfer done HMASTER: Std_Logic_Vector(3 downto 0); -- current master HMASTLOCK: Std_ULogic; -- locked access end record; -- AHB slave outputs type AHB_Slv_Out_Type is record HREADY: Std_ULogic; -- transfer done HRESP: Std_Logic_Vector(1 downto 0); -- response type HRDATA: Std_Logic_Vector(31 downto 0); -- read data bus HSPLIT: Std_Logic_Vector(15 downto 0); -- split completion end record; ----------------------------------------------------------------------------- -- Definitions for AMBA(TM) AHB Arbiter/Decoder ----------------------------------------------------------------------------- -- supporting array types type AHB_Mst_In_Vector is array (Natural Range <> ) of AHB_Mst_In_Type; type AHB_Mst_Out_Vector is array (Natural Range <> ) of AHB_Mst_Out_Type; type AHB_Slv_In_Vector is array (Natural Range <> ) of AHB_Slv_In_Type; type AHB_Slv_Out_Vector is array (Natural Range <> ) of AHB_Slv_Out_Type; end AMBA; @ 1.1.1.1 log @no message @ text @@